Model RealTime 11.3 2023.42

176375: Minimum code snippet height preferred for Code Editor

Added preference in the Code Editor, allowing users to tailor their code snippet experience to their preferences.

176269: Navigate from a code snippet in the editor to its corresponding generated C++ file

Implemented seamless navigation from a code snippet in the Code editor to its specific location within the generated C++ file.

176227: Special characters are displayed as question marks in generated code

The encoding format for all the generated files ( cpp files and make files) is set to UTF-8 always irrespective of the preference value set in Windows->General->Text file encoding.
It is recommended to use UTF-8 encoding in the preference to ensure that the unicode characters can be used in the comments and string literals.

176212: TCJS files: Add comma after last element in lists to ease merging in GIT

Appended comma to the last element of the lists in the TCJS files to ease merging in GIT. Currently, if a new element is appended to the list, then the line would be modified due to the addition of the comma and a new line is added holding the new element. By appending the comma to the last element of the lists, then adding a new element would only add a new line which makes merging in GIT easier.

176124: Improve Navigation from Capsule Part (Part 2)

Navigation from a capsule part which is typed by an Abstract Capsule to the Capsule where real implementation is done is possible by means of outgoing dependencies with <create> stereotype.
Navigation is based on the number of dependencies:
1. Zero dependency: Navigates to open the original/abstract capsule
2. One or more dependencies: Navigates to open a dialog called the Open diagram for Substitutable type, where desired implementation capsule can be selected.
Note: Dependencies with <create> stereotype can only be created in Model Viewpoint and Unbound dependencies are displayed as '!!!Unresolved Dependency!!!' in the dialog box.

175669: Make inherited operations explicitly visible in project explorer

The Inheritance Explorer can be used as a complement to the Project Explorer for seeing inherited elements, such as operations, states and transitions. Inherited elements are shown with gray font, like in the Properties view.
It's possible to either see all elements (local plus inherited), or to set a filter to only see redefinitions. The latter can for example be useful for understanding how states and transitions from an inherited state machine have been locally redefined.

174266: Developers want to have additional buttons in toolbar

Option for generating the code for the TC has been added in the Build Active Transformation configuration button. Renamed the "Run transformation" option to "Generate Code" to make the option more specific instead of using the generic name.
Also added two new buttons for "Generate Code Preview" and "Remove All Generated Projects" next to the "Build Active Tranformation Configuration" to improve the ease of access of the available options.